SONY was started with $190 only, in 1946

Can you believe this.. ? SONY Electronics, Japan based company was started with a seed capital of $190. Today Sony Corporation has a market capitalization value of arounf $41 bilion.

Sony's hystory can be credited to Morita's (Akio Morrita) crearivity and innocative ideas. His ideas gave birth to totally new lifestyles and cultures. In 1949 company developed magnatic tape and 1950 they have sold first tape recorder in Japan. In 1957 they have produced a pocket size radio. Then in 1960 Sony produces first transistor television in the world, In 1979 the walkman was introduced to world making it to worlds first portable music player. In 1984 Sony launches the Discman series which extended their Walkman brand to portable CD products.

How Sony was started ?

After studying Physics in college, Akio joined Japanes army during the World War where he met, masura Ibuka. They formed the company which later know as Sony Corporation.

Full ASIC Design Flow

As an ASIC Engineer, we should have idea about the whole ASIC design and verification flow. Here I have described all the useful steps which must be follow start from the thinking of the Micro architecture to the Fabrication of the Chip.

I hope this information will be useful as an ASIC Engineer. Please leave your comments or question if you have any. I will try my best to reply you soon.

Step 1: Create an Micro-Architecture Document.

Step 2: RTL Design & Development of IP's

Step 3: Functional verification all the IP's/Check whether the RTL is free from Linting Errors/Analyze whether the RTL is Synthesis friendly.
Step 3a: Perform Cycle-based verification(Functional) to verify the protocol behaviour of the RTL
Step 3b: Perform Property Checking , to verify the RTL implementation and the specification understanding is matching.

Step 4: Prepare the Design Constraints file (clock definitions(frequency/uncertainity/jitter),I/O delay definitions, Output pad load definition, Design False/Multicycle-paths) to perform Synthesis, usually called as an SDC synopsys_constraints, specific to synopsys synthesis Tool (design-compiler)

Step 5: To Perform Synthesis for the IP, the inputs to the tool are (library file(for which synthesis needs to be targeted for, which has the functional/timing information available for the standard-cell library and the wire-load models for the wires based on the fanout length of the connectivity), RTL files and the Design Constraint files, So that the Synthesis tool can perform the synthesis of the RTL files and map and optimize to meet the design-constraints requirements. After performing synthesis, as a part of the synthesis flow, need to build scan-chain connectivity based on the DFT(Design for Test) requirement, the synthesis tool (Test-compiler), builds the scan-chain.

6: Check whether the Design is meeting the requirements (Functional/Timing/Area/Power/DFT) after synthesis.
Step 6a: Perform the Netlist-level Power Analysis, to know whether the design is meeting the power targets.
Step 6b: Perform Gate-level Simulation with the Synthesized Netlist to check whether the design is meeting the functional requirements.
Step 6c: Perform Formal-verification between RTL vs Synthesized Netlist to confirm that the synthesis Tool has not altered the functionality.
Step 6d: Perform STA(Static Timing Analysis) with the SDF(Standard Delay Format) file and synthesized netlist file, to check whether the Design is meeting the timing-requirements.
Step 6e: Perform Scan-Tracing , in the DFT tool, to check whether the scan-chain is built based on the DFT requirement.

Step 7: Once the synthesis is performed the synthesized netlist file(VHDL/Verilog format) and the SDC (constraints file) is passed as input files to the Placement and Routing Tool to perform the back-end Actitivities.

Step 8: The next step is the Floor-planning, which means placing the IP's based on the connectivity,placing the memories, Create the Pad-ring, placing the Pads(Signal/power/transfer-cells(to switch voltage domains/Corner pads(proper accessibility for Package routing), meeting the SSN requirements(Simultaneous Switching Noise) that when the high-speed bus is switching that it doesn't create any noise related acitivities, creating an optimised floorplan, where the design meets the utilization targets of the chip.
Step 8a : Release the floor-planned information to the package team, to perform the package feasibility analysis for the pad-ring .
Step 8b: To the placement tool, rows are cut, blockages are created where the tool is prevented from placing the cells, then the physical placement of the cells is performed based on the timing/area requirements.The power-grid is built to meet the power-target's of the Chip .

Step 9: The next step is to perform the Routing., at first the Global routing and Detailed routing, meeting the DRC(Design Rule Check) requirement as per the fabrication requirement.

Step 10: After performing Routing then the routed Verilog netlist, standard-cells LEF/DEF file is taken to the Extraction tool (to extract the parasitics(RLC) values of the chip in the SPEF format(Standard parasitics Exchange Format), and the SPEF file is generated.

Step 11: Check whether the Design is meeting the requirements (Functional/Timing/Area/Power/DFT/DRC/LVS/ERC/ESD/SI/IR-Drop) after Placement and Routing step.
Step 11a: Perform the Routed Netlist-level Power Analysis, to know whether the design has met the power targets.
Step 11b: Perform Gate-level Simulation with the routed Netlist to check whether the design is meeting the functional requirement .
Step 11c: Perform Formal-verification between RTL vs routed Netlist to confirm that the place & route Tool has not altered the functionality.
Step 11d: Perform STA(Static Timing Analysis) with the SPEF file and routed netlist file, to check whether the Design is meeting the timing-requirements.
Step 11e: Perform Scan-Tracing , in the DFT tool, to check whether the scan-chain is built based on the DFT requirement, Peform the Fault-coverage with the DFT tool and Generate the ATPG test-vectors.
Step 11f: Convert the ATPG test-vector to a tester understandable format(WGL)
Step 11g: Perform DRC(Design Rule Check) verfication called as Physical-verification, to confirm that the design is meeting the Fabrication requirements.
Step 11h: Perform LVS(layout vs Spice) check, a part of the verification which takes a routed netlist converts to spice (call it SPICE-R) and convert the Synthesized netlist(call it SPICE-S) and compare that the two are matching.
Step 11i : Perform the ERC(Electrical Rule Checking) check, to know that the design is meeting the ERC requirement.
Step 11j: Perform the ESD Check, so that the proper back-to-back diodes are placed and proper guarding is there in case if we have both analog and digital portions in our Chip. We have seperate Power and Grounds for both Digital and Analog Portions, to reduce the Substrate-noise.
Step 11k: Perform seperate STA(Static Timing Analysis) , to verify that the Signal-integrity of our Chip. To perform this to the STA tool, the routed netlist and SPEF file(parasitics including coupling capacitances values), are fed to the tool. This check is important as the signal-integrity effect can cause cross-talk delay and cross-talk noise effects, and hinder in the functionality/timing aspects of the design.
Step 11l: Perform IR Drop analysis, that the Power-grid is so robust enough to with-stand the static and dynamic power-drops with in the design and the IR-drop is with-in the target limits.

Step 12: Once the routed design is verified for the design constraints, then now the next step is chip-finishing activities (like metal-slotting, placing de-coupling caps).

Step 13: Now the Chip Design is ready to go to the Fabrication unit, release files which the fab can understand, GDS file.

Step 14: After the GDS file is released , perform the LAPO check so that the database released to the fab is correct.

Step 15: Perform the Package wire-bonding, which connects the chip to the Package.

What is ASIC (Application Specific Integrated Ckt)

what is ASIC ?

ASIC stands for the abbreviation of Application Specific Integrated Circuits. It means an integrated circuit designed for a specific application. An application could be a microprocessor, cell phone, modem, router, etc., The respective ASIC will have its own architecture, need to support its own protocol requirements . In todays ASIC has a complete system in a single often called as System on a Chip(SOC).

The flow involved to achieve this could be semi custom or full custom. The various cost function for an ASIC chip could be "Area, Timing, Power" Targets.
Basically microprocessor involves full custom. Full custom designs take lot of time to design. Full custom designs are used to achieve high frequency targets.
Where as in a semi custom flow, initially the standard cells are pre designed based on the characterization of the silicon for a specific process.